diff --git a/.gitignore b/.gitignore index 94dcf40..b28ce94 100644 --- a/.gitignore +++ b/.gitignore @@ -1,6 +1,8 @@ *.rbf_r *.rev *.zip +dist/**/chip32.bin +src/support/*.bin src/sim/work/ src/sim/*.hex src/sim/*.mem diff --git a/README.md b/README.md index 2e8edb0..1db2433 100644 --- a/README.md +++ b/README.md @@ -19,7 +19,9 @@ To install the core, copy the `Assets`, `Cores`, and `Platform` folders over to ROMs should be placed in `/Assets/pce/common` -Please note that SuperGrafx and CD games are not currently supported, due to needing fixes/feature support in the firmware. They will be added in a future update. +SuperGrafix games **_MUST_** have the `.sgx` extension, as otherwise there's no way for the core to tell that it uses the SuperGrafx hardware. + +Please note that CD games are not currently supported. Support will be added in a future update. ## Features diff --git a/dist/Cores/agg23.PC Engine/core.json b/dist/Cores/agg23.PC Engine/core.json index ad285b0..cf788bf 100644 --- a/dist/Cores/agg23.PC Engine/core.json +++ b/dist/Cores/agg23.PC Engine/core.json @@ -7,8 +7,8 @@ "description": "PC Engine (known as TurboGrafx-16 in the US) by NEC", "author": "agg23", "url": "https://github.com/agg23/openfpga-pcengine/", - "version": "0.2.1", - "date_release": "2022-10-27" + "version": "0.3.0", + "date_release": "2022-11-08" }, "framework": { "target_product": "Analogue Pocket", @@ -21,7 +21,8 @@ "hardware": { "link_port": false, "cartridge_adapter": -1 - } + }, + "chip32_vm": "chip32.bin" }, "cores": [ { diff --git a/dist/Cores/agg23.PC Engine/data.json b/dist/Cores/agg23.PC Engine/data.json index 49a867e..00ffe13 100644 --- a/dist/Cores/agg23.PC Engine/data.json +++ b/dist/Cores/agg23.PC Engine/data.json @@ -7,12 +7,12 @@ "id": 0, "required": true, "parameters": "0x109", - "extensions": ["pce"], + "extensions": ["pce", "sgx"], "address": "0x10000000" }, { "name": "Save", - "id": 10, + "id": 1, "required": false, "parameters": "0x84", "nonvolatile": true, diff --git a/dist/Cores/agg23.PC Engine/info.txt b/dist/Cores/agg23.PC Engine/info.txt index 7b4c971..0bf014e 100644 --- a/dist/Cores/agg23.PC Engine/info.txt +++ b/dist/Cores/agg23.PC Engine/info.txt @@ -2,4 +2,4 @@ Port by agg23. Core by Gregory Estrade, srg320, and greyrogue PC Engine (PCE), known as TurboGrafx-16 in the United States, was created by NEC and was the first 4th generation console to reach the market. Therefore, even though it came out in the late 80s, it has significantly better graphics than 8-bit systems that were being sold at the time, such as the NES. -Currently supports standard PC Engine/TurboGrafx ROMs only. SuperGrafx support coming soon, and CD support coming sometime in the future. Please report all issues to agg23, as most likely any issues experienced are issues with the port, not the core. \ No newline at end of file +Currently supports standard PC Engine/TurboGrafx and SuperGrafx ROMs. CD support is coming sometime in the future. Please report all issues to agg23, as most likely any issues experienced are issues with the port, not the core. \ No newline at end of file diff --git a/src/fpga/ap_core.qsf b/src/fpga/ap_core.qsf index 15c1b44..f0c4d93 100644 --- a/src/fpga/ap_core.qsf +++ b/src/fpga/ap_core.qsf @@ -774,5 +774,5 @@ set_global_assignment -name SDC_FILE core/core_constraints.sdc set_global_assignment -name SIGNALTAP_FILE core/stp1.stp set_global_assignment -name QIP_FILE core/mf_pllbase.qip set_global_assignment -name SIP_FILE core/mf_pllbase.sip -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top -set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp \ No newline at end of file +set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/src/fpga/apf/build_id.mif b/src/fpga/apf/build_id.mif index 3d9c300..caa41ec 100644 --- a/src/fpga/apf/build_id.mif +++ b/src/fpga/apf/build_id.mif @@ -9,8 +9,8 @@ DATA_RADIX = HEX; CONTENT BEGIN - 0E0 : 20221026; - 0E1 : 00133551; - 0E2 : aadd71c0; + 0E0 : 20221108; + 0E1 : 00123037; + 0E2 : e03d8a7e; END; diff --git a/src/fpga/core/core_top.v b/src/fpga/core/core_top.v index 0c7f117..422a535 100644 --- a/src/fpga/core/core_top.v +++ b/src/fpga/core/core_top.v @@ -330,10 +330,13 @@ module core_top ( if (bridge_wr) begin casex (bridge_addr) - // 32'h0: begin - // ioctl_download <= bridge_wr_data[0]; - // end + 32'h0: begin + ioctl_download <= bridge_wr_data[0]; + end 32'h4: begin + save_download <= bridge_wr_data[0]; + end + 32'h8: begin is_sgx <= bridge_wr_data[0]; end 32'h50: begin @@ -484,15 +487,16 @@ module core_top ( ); reg ioctl_download = 0; + reg save_download = 0; reg is_sgx = 0; wire ioctl_wr; wire [23:0] ioctl_addr; wire [15:0] ioctl_dout; - always @(posedge clk_74a) begin - if (dataslot_requestwrite) ioctl_download <= 1; - else if (dataslot_allcomplete) ioctl_download <= 0; - end + // always @(posedge clk_74a) begin + // if (dataslot_requestwrite) ioctl_download <= 1; + // else if (dataslot_allcomplete) ioctl_download <= 0; + // end wire [31:0] sd_read_data; @@ -505,17 +509,17 @@ module core_top ( wire [24:0] sd_buff_addr_in; wire [24:0] sd_buff_addr_out; - wire save_loading = dataslot_requestwrite_id == 1 || dataslot_requestread_id == 1; + // wire save_loading = dataslot_requestwrite_id == 1 || dataslot_requestread_id == 1; wire ioctl_download_s; - wire save_loading_s; + wire save_download_s; wire is_sgx_s; synch_3 #( .WIDTH(3) ) download_s ( - {ioctl_download, save_loading, is_sgx}, - {ioctl_download_s, save_loading_s, is_sgx_s}, + {ioctl_download, save_download, is_sgx}, + {ioctl_download_s, save_download_s, is_sgx_s}, clk_mem_85_91 ); @@ -795,7 +799,7 @@ module core_top ( .sd_lba(sd_buff_addr[24:9]), .sd_buff_dout(sd_buff_dout), .sd_buff_din(sd_buff_din), - .save_loading(save_loading_s), + .save_download(save_download_s), // SDRAM .dram_a(dram_a), diff --git a/src/fpga/core/main.sv b/src/fpga/core/main.sv index c19bbcf..77f0c45 100644 --- a/src/fpga/core/main.sv +++ b/src/fpga/core/main.sv @@ -88,7 +88,7 @@ module pce ( input wire [16:0] sd_lba, input wire [15:0] sd_buff_dout, output wire [15:0] sd_buff_din, - input wire save_loading, + input wire save_download, // SDRAM output wire [12:0] dram_a, @@ -119,7 +119,7 @@ module pce ( output wire [15:0] audio_r ); - wire [63:0] status = 0; + wire [63:0] status = 0; wire code_download = 0; @@ -132,10 +132,10 @@ module pce ( wire VDC_BG_EN = 1; wire VDC_SPR_EN = 1; - wire [ 1:0] VDC_GRID_EN = 2'd0; + wire [ 1:0] VDC_GRID_EN = 2'd0; wire CPU_PAUSE_EN = 0; - wire reset = (core_reset | save_loading); + wire reset = (core_reset | save_download); // wire code_index = &ioctl_index; // wire code_download = ioctl_download & code_index; @@ -144,17 +144,17 @@ module pce ( wire overscan = ~status[17]; - wire [95:0] cd_comm; - wire cd_comm_send; - reg [15:0] cd_stat; - reg cd_stat_rec; - reg cd_dataout_req; - wire [79:0] cd_dataout; - wire cd_dataout_send; - wire cd_reset_req; - reg cd_region; - - wire [21:0] cd_ram_a; + wire [95:0] cd_comm; + wire cd_comm_send; + reg [15:0] cd_stat; + reg cd_stat_rec; + reg cd_dataout_req; + wire [79:0] cd_dataout; + wire cd_dataout_send; + wire cd_reset_req; + reg cd_region; + + wire [21:0] cd_ram_a; wire cd_ram_rd, cd_ram_wr; wire [7:0] cd_ram_do; @@ -433,7 +433,7 @@ module pce ( //////////////////////////// MEMORY ////////////////////////////////// - localparam LITE = 1; + localparam LITE = 0; wire [21:0] rom_rdaddr; wire [ 7:0] rom_sdata; diff --git a/src/fpga/core/stp1.stp b/src/fpga/core/stp1.stp index 972e3c9..b2869f4 100644 --- a/src/fpga/core/stp1.stp +++ b/src/fpga/core/stp1.stp @@ -4114,20 +4114,19 @@ - - - + + + - + - - + - + - + @@ -5297,7 +5296,7 @@ - + @@ -5335,127 +5334,127 @@ - - - - - - - - - - + + + + + + + + + + - - - - - - - - - - + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - + + + + + + + - - - - - + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + @@ -5666,7 +5665,7 @@ - + @@ -5704,127 +5703,127 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -6035,7 +6034,7 @@ - + @@ -6073,136 +6072,136 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - 'core_top:ic|linebuffer:linebuffer|total_rendered_count[0]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[1]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[2]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[3]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[4]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[5]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[6]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[7]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[8]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[9]' == low + 'core_top:ic|linebuffer:linebuffer|total_rendered_count[0]' == high && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[1]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[2]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[3]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[4]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[5]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[6]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[7]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[8]' == low && 'core_top:ic|linebuffer:linebuffer|total_rendered_count[9]' == low @@ -6229,8 +6228,8 @@ - - + 1000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000100000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010010111100100000001010100111000001000000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100101111001000000010101001110000010100000000000000110000000000000000000000000000110000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001001011110010000000101010011100000101000000000000001100000000000000000000000000001100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000001000000000010000000101001000000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000010000000000100000001010010000000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000001000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000100000000001000000010100100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000111110000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000001111100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000001111000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000011110000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000001011100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000010111000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000001110000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000000011100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000011011000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000110110000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000000101100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000001011000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000100110000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100010000000000000000000000000010100000010010111101001000000000000000000000000000000000010100000000000000000011110000000000001001100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000100000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000000011000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000000110000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000001110100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000011101000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000011010000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000000110100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000010101000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000101010000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000000010100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000000101000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000110010000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000001100100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000001000000000000000000111100000000000001001000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100100000000000000000000000000000000000010000000000000000001111000000000000010010000000000000000000000000000000000000010100000010010111101001001000000010000000000000000000000000010000000000000000000000000000000000000000000000010000000101101000000000001000000000000001100000000000000000000000000000010100000010010111101001000000000000000000000000000000000000100000000000000000011110000000000001000100000000000000000000000000000000000000101000000100101111010010010000000100000000000000000000000000100000000000000000000000000000000000000000000000100000001011010000000000010000000000000011000000000000000000000000000000101000000100101111010010000000000000000000000000000000000101000000000000000000111100000000000010001000000000000000000000000000000000000001010000001001011110100100100000001000000000000000000000000001000000000000000000000000000000000000000000000001000000010110100000000000100000000000000110000000000000000000000000000001010000001001011110100101000000000000000000000000000000000010000000000000000001111000000000000000010000000000000000000000000000000000000010100000010010111101001011000000010000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100000000000000000000000000000010100000010010111101001010000000000000000000000000000000000100000000000000000011110000000000000000100000000000000000000000000000000000000101000000100101111010010110000000100000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011000000000000000000000000000000100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000000001000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010101000000100101111010010001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000000010000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101010000001001011110100100010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111111111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111111110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101111111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100111111111111111111111111100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001111111111111111111111111101111110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001111111111111111111111111000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000011111111111111111111111111011111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010011111111111111111111111110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000111111111111111111111111100111111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001011111111111111111111111100111111111111111111111111100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001111111111111111111111111001111110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010111111111111111111111111001111111111111111111111111000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000011111111111111111111111111101111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101111111111111111111111110011111111111111111111111110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000111111111111111111111111111011111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001011111111111111111111111100111111111111111111111111100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001111111111111111111111111010111110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010111111111111111111111111001111111111111111111111111000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000011111111111111111111111110101111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101111111111111111111111110010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110011111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001011111111111111111111111100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100111110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010111111111111111111111111001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100011111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111011110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101101111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011011110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110101111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001011110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110010111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111001111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110011110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101001111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100011110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000111100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100001111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000011110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111110111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011101110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110110111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101101110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110011011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100110111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110101110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101010111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010101110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110010111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000101110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111100111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111001110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001010000001001011110100101000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010100000010010111101001010110011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010100000010010111101001010000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000101000000100101111010010101100111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100101000000100101111010010100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001010000001001011110100101101001110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010101000000100101111010010001010000001001011110100101000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010100000010010111101001011010011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101010000001001011110100100010100000010010111101001010000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000101000000100101111010010100100111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010100000010010111101001000101000000100101111010010100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001010000001001011110100101001001110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010101000000100101111010010001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111100011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101010000001001011110100100010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111000111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010100000010010111101001000100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010001110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110000111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100001110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000011100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100000111000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111110110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101111011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011110110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111011101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110111011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100101000000100101111010010100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001010000001001011110100101001110110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001010000001001011110100101000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010100000010010111101001010011101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010100000010010111101001010000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000101000000100101111010010111011011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010100000010010111101001000101000000100101111010010100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001010000001001011110100101110110110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010101000000100101111010010001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110101101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101010000001001011110100100010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101011011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010100000010010111101001000100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100110110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100011011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000110110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111101011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011010110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110110101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110101011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101010110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001010000001001011110100101000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010100000010010111101001010010101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010100000010010111101001010000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000101000000100101111010010100101011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100101000000100101111010010100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001010000001001011110100101110010110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010101000000100101111010010001010000001001011110100101000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010100000010010111101001011100101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101010000001001011110100100010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101001011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010100000010010111101001000100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010010110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010101000000100101111010010001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110001011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000010110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000101100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111110011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111100110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101110011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101100110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111011001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100110011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001100110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111010011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010100110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110101001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110010011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100100110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100010011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111000110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101100011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011000110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110100011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001000110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110010001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111000011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110000110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101000011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100000110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000001100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100000011000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000000110000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111111101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011111010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110111101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101111010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110011110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100111101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110111010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101011101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010111010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110011101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000111010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111101101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111011010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110110110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101101101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101011010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100101101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001011010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111100110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111001101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010011010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110001101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100011010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000110100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100001101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111101010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101110101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011101010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111011010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110110101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001101010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110011010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111010101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110101010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110101010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101010101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100101010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100010101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000101010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111100101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011001010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110110010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110100101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101001010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110010010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100100101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110001010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111100010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101000101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010001010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110000101000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000001010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000010100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111111001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111110010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101111001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101110010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111011100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100111001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001110010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111011001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010110010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110101100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110011001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100110010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100011001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111010010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101101001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011010010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110101001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001010010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110010100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111001001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110010010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101001001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100010010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000100100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100001001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000010010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111110001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011100010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110110001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101100010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110011000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100110001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110100010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101010001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010100010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110010001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000100010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111100001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111000010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110110000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101100001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101000010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100100001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001000010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111100000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111000001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010000010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110000001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100000010000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000000100000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100000001000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111111100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101111110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011111100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111011111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110111110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001111100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110011111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111011110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110111100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110101111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101011110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100111100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100011110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000111100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111101110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011011100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110110111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110101110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101011100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110010111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100101110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110011100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111100111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101001110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010011100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110001110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000011100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110000111000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111110110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111101100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101110110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101101100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111011011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100110110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001101100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111010110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010101100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110101011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110010110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100101100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100010110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111001100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111110011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101100110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011001100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110100110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001001100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110010011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111000110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110001100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101000110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011100001100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111000011000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100000110000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000001100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111111101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111111010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011011110100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110111101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110111010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101110100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110011101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100111010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011110110100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111101101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101011010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010110100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111001101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001110011010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011000110100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110001101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111101010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011111010100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110110101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001101101010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011101010100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111010101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001100101010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011001010100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000111100101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000100000000000010000000000000000000000000100000010000000011110001111001010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000001000000000000100000000000000000000000001000000100000000111100011010010100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000010000000000001000000000000000000000000010000001000000001111000110100101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101101010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110001010000000000000000000000000000100000000000000000000000000000000000001000000000100000001011010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100010100000000000000000000000000001000000000000000000000000000000000000010000000001000000010110101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110000101000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100001010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111100100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111111001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101110010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011100100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111011001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110110010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001100100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110011001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111010010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110100100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110101001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101010010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100100100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111001001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100010010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011000100100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111110001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111100010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011000100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110110001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110100010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011101000100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110010001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100100010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110000100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111100001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101000010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011010000100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111000001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110000010000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011000000100000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110000001000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111111100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111111000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110111110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101111100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011101111000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111011110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100111100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001111000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111101110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111011100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011010111000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110101110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110011100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100111000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110001110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100011100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111011000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111110110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101101100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011011000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111010110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110101100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001011000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110010110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111001100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110011000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110100110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101001100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100011000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111000110000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100001100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011000011000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111111010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111110100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011101000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110111010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110110100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011101101000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110011010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100110100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110101000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111101010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101010100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011010101000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111001010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110010100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011000101000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110001010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111100100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111001000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110110010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101100100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011101001000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111010010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100100100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001001000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111100010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111000100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011010001000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110100010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110000100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100001000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110000010000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100000100000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111110000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111111100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101111000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011110000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111011100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110111000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001110000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110011100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111011000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110110000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110101100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101011000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100110000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111001100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100011000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011000110000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111110100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111101000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011010000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110110100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110101000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011101010000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110010100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100101000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110010000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111100100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101001000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011010010000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111000100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110001000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011000010000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110000100000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111110000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111100000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110111000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101110000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011101100000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111011000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100110000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001100000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111101000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111010000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011010100000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110101000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110010000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011100100000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110001000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001100010000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011111000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111110000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001101100000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011011000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000111010000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001110100000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011001000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001001000000100000000111100011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000010000001000000001111000110010000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010010000001000000001111000110000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000000100000010000000011110001111000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100100000010000000011110001100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000001000000100000000111100011110000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010100000010000000011110001000101111110110100001011011000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000001011111101101000010110110100000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100101000000100000000111100010001011111101101000010110110000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000000010111111011010000101101101000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001010000001000000001111000100000000000000000000000000100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000000000000000000000000000001100000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010010111111011010000101101000000000000000000000000001000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000000000000000000000000000011000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100100101111110110100001011010100000000000000000000000010000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011001000000000000000000000000001000000000000000000000000100000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110010000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000001100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000011110000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000100000001010010100000000010000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000111100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000001000000010100101000000000100000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000001111000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000010000000101001010000000001000000000000000100000000000000000000000000000 + 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 diff --git a/src/support/chip32.asm b/src/support/chip32.asm index bcf0b5a..58f3cf7 100644 --- a/src/support/chip32.asm +++ b/src/support/chip32.asm @@ -4,7 +4,8 @@ output "chip32.bin", create // we will put data into here that we're working on. It's the last 1K of the 8K chip32 memory constant rambuf = 0x1b00 -constant dataslot = 0 +constant rom_dataslot = 0 +constant save_dataslot = 1 // Host init command constant host_init = 0x4002 @@ -17,7 +18,7 @@ jp error_handler ld r0,#0 core r0 -ld r1,#dataslot // populate data slot +ld r1,#rom_dataslot // populate data slot ld r2,#rambuf // get ram buf position getext r1,r2 ld r1,#ext_sgx @@ -32,14 +33,14 @@ set_sgx: ld r3,#1 start_load: +ld r1,#8 +pmpw r1,r3 // Write is_sgx = 1 + ld r1,#0 // Set address for write ld r2,#1 // Downloading start pmpw r1,r2 // Write ioctl_download = 1 -ld r1,#4 // Set address for write -pmpw r1,r3 // Write is_sgx = 1 - -ld r1,#dataslot +ld r1,#rom_dataslot ld r14,#load_err_msg loadf r1 // Load ROM jp nz,print_error_and_exit @@ -48,6 +49,17 @@ ld r1,#0 // Set address for write ld r2,#0 // Downloading end pmpw r1,r2 // Write ioctl_download = 0 +ld r1,#4 // Set address for write +ld r2,#1 // Downloading start +pmpw r1,r2 // Write save_download = 1 + +ld r1,#save_dataslot +loadf r1 // Load save + +ld r1,#4 // Set address for write +ld r2,#0 // Downloading end +pmpw r1,r2 // Write save_download = 0 + // Start core ld r0,#host_init host r0,r0 diff --git a/src/support/chip32.bin b/src/support/chip32.bin deleted file mode 100644 index 94df908..0000000 Binary files a/src/support/chip32.bin and /dev/null differ diff --git a/src/support/chip32_print_ext.asm b/src/support/chip32_print_ext.asm deleted file mode 100644 index fd8d8c2..0000000 --- a/src/support/chip32_print_ext.asm +++ /dev/null @@ -1,54 +0,0 @@ -architecture chip32.vm -output "chip32.bin", create - -// we will put data into here that we're working on. It's the last 1K of the 8K chip32 memory -constant rambuf = 0x1b00 - -constant dataslot = 0 - -// Host init command -constant host_init = 0x4002 - -// Error vector (0x0) -jp error_handler - -// Init vector (0x2) -// Choose core -ld r1,#dataslot // populate data slot -ld r2,#rambuf // get ram buf position -getext r1,r2 - -ld r1,#ext_sgx -test r2,r1 -jp z, next_1 - -ld r14,#ext_sgx -jp print_error_and_exit - -next_1: -ld r1,#ext_pce -test r2,r1 -jp nz, next_2 - -ld r14,#ext_pce -jp print_error_and_exit - -next_2: - -// Error handling -error_handler: -ld r14,#test_err_msg -exit 1 - -print_error_and_exit: -printf r14 -exit 1 - -ext_sgx: -db "sgx",0 - -ext_pce: -db "pce",0 - -test_err_msg: -db "Error",0 \ No newline at end of file diff --git a/src/support/chip32_v2.asm b/src/support/chip32_v2.asm deleted file mode 100644 index 3895888..0000000 --- a/src/support/chip32_v2.asm +++ /dev/null @@ -1,50 +0,0 @@ -architecture chip32.vm -output "chip32.bin", create - -// we will put data into here that we're working on. It's the last 1K of the 8K chip32 memory -constant rambuf = 0x1b00 - -constant dataslot = 0 - -// Host init command -constant host_init = 0x4002 - -// Error vector (0x0) -jp error_handler - -// Init vector (0x2) -// Choose core -ld r1,#dataslot // populate data slot -ld r2,#rambuf // get ram buf position -getext r1,r2 - -ld r1,#ext_sgx // populate data slot -test r1,r2 -jp z,zero - -ld r14,#no_match -jp print_error_and_exit - -zero: -ld r14,#match -jp print_error_and_exit - -// Error handling -error_handler: -ld r14,#test_err_msg - -print_error_and_exit: -printf r14 -exit 1 - -ext_sgx: -db "SGX",0 - -test_err_msg: -db "Error",0 - -no_match: -db "No match",0 - -match: -db "Match",0 \ No newline at end of file